Welcome![Sign In][Sign Up]
Location:
Search - comparator vhdl source code

Search list

[Other resource8位大小比较器

Description: 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL description of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL description of a 4-bit magnitude comparator inputs with expansion
Platform: | Size: 1216 | Author: 蔡孟颖 | Hits:

[VHDL-FPGA-VerilogVHDL实例

Description: 各种常用模块的VHDL描叙实例,PDF格式-various modules used VHDL depicts examples, PDF format
Platform: | Size: 168960 | Author: 付杰 | Hits:

[VHDL-FPGA-Verilog8位大小比较器

Description: 8位大小比较器的VHDL源代码,Magnitude Comparator VHDL description of a 4-bit magnitude comparator with expansion inputs-eight compared with the size of the VHDL source code, Magnitude Comparator VHDL description of a 4-bit magnitude comparator inputs with expansion
Platform: | Size: 1024 | Author: 蔡孟颖 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 8位相等比较器含源代码,用VHDL语言编写,具体很高的实用性,供读者参考-8, phase comparator, such as with the source code, using VHDL language, the specific relevance of a high for the reader is referred to
Platform: | Size: 1024 | Author: lijinling | Hits:

[assembly languagefazhi

Description: 这是一个将模拟量转换成数字量的VHDL源代码,能够转换正弦波,三角波,方波;通过设定一个阀值,再用比较器比较实现的!-This is an analog quantity into a digital representation of the VHDL source code, to convert sine wave, triangle wave, square wave by setting a threshold, then comparator compares the implementation!
Platform: | Size: 480256 | Author: biao | Hits:

[VHDL-FPGA-Verilogcomp

Description: 经典比较器源代码,VHDL语言编写,可以-Classic source code comparator, VHDL language, you can see
Platform: | Size: 126976 | Author: 小马 | Hits:

CodeBus www.codebus.net